资讯

精准传达 • 有效沟通

从品牌网站建设到网络营销策划,从策略到执行的一站式服务

怎样利用Quartus时序仿真

本篇文章为大家展示了怎样利用Quartus时序仿真,内容简明扼要并且容易理解,绝对能使你眼前一亮,通过这篇文章的详细介绍希望你能有所收获。

成都创新互联主要从事网站设计制作、成都做网站、网页设计、企业做网站、公司建网站等业务。立足成都服务竹溪,十余年网站建设经验,价格优惠、服务专业,欢迎来电咨询建站服务:18980820575


完成了功能仿真,接下来我们就来看看时序仿真是如何操作的,我们还是回到 Quartus II 软 件界面中,在 Quartus II 软件界面中,首先,我们需要全编译一下我们的工程,这时我们打开工程下的 Simulation/modelsim 文件夹,如图  
怎样利用Quartus时序仿真
 在该页面中我们可以看到很多文件,有我们功能仿真生成的文件,还有我们时序仿真要用到的.vo 文件(网表文件)和.sdo 文件(时延文件),有了这些文件,我们才能进行时序仿真。(如果打开并没有找到.vo和.sdo文件,那么你需要重新创建工程,在选择EDA工具时,选择ModelsimAltera,Verilog,创建完成后重新编译工程)。接下来我们就可以回到 Quartus II 软件界面中,我们在菜单栏中找到【Tools】→【Run Simulation Tool】→【Gate Level Simulation】按钮并点击,出现如图
怎样利用Quartus时序仿真
这里我们可以看到有三种模式,我们使用默认的即可,点击【Run】,则会出现如图
怎样利用Quartus时序仿真
这时,大家在来看一看 LED1 和 CLK_50M 这两个信号
怎样利用Quartus时序仿真
我们很清楚的可以看到它们并不是同时发送变化,它们之间是有延迟的。至此,我们完成了时序仿真。

上述内容就是怎样利用Quartus时序仿真,你们学到知识或技能了吗?如果还想学到更多技能或者丰富自己的知识储备,欢迎关注创新互联行业资讯频道。


本文题目:怎样利用Quartus时序仿真
网站路径:http://cdkjz.cn/article/jdjosc.html
多年建站经验

多一份参考,总有益处

联系快上网,免费获得专属《策划方案》及报价

咨询相关问题或预约面谈,可以通过以下方式与我们联系

大客户专线   成都:13518219792   座机:028-86922220