资讯

精准传达 • 有效沟通

从品牌网站建设到网络营销策划,从策略到执行的一站式服务

thinkphp-三元运算

说明

宜良网站制作公司哪家好,找创新互联公司!从网页设计、网站建设、微信开发、APP开发、成都响应式网站建设公司等网站项目制作,到程序开发,运营维护。创新互联公司于2013年成立到现在10年的时间,我们拥有了丰富的建站经验和运维经验,来保证我们的工作的顺利进行。专注于网站建设就选创新互联公司

三元运算符

示例

{$status?'y':'n'}

输出

y

说明

如果有设置$varname则输出$varname,否则输出'xxx'

示例

{$varname ?? 'xxx'}

输出

xxx

说明

如果$varname为真时才输出xxx

示例

{$varname?='xxx'}

输出

xxx

说明

如果$varname为真则输出$varname,否则输出xxx

示例

{$varname ?: 'xxx'}

输出

xxx

分享题目:thinkphp-三元运算
本文链接:http://cdkjz.cn/article/jdgidh.html
多年建站经验

多一份参考,总有益处

联系快上网,免费获得专属《策划方案》及报价

咨询相关问题或预约面谈,可以通过以下方式与我们联系

大客户专线   成都:13518219792   座机:028-86922220